Overcooling of electronic devices and systems results in excess energy consumption, which can be reduced by closely linking cooling requirements with actual power dissipation. A thermal model-based flow rate controller for single phase liquid cooled single tier and three-dimensional (3D) stacked chips, using pin-fin enhanced microgap was studied in this paper. Thermal compact models of a planar and 3D stacked two-layer pin-fin enhanced microgap were developed, which ran 104-105 times faster than using full-field computational fluid dynamics/heat transfer (CFD/HT) method, with reasonable accuracy and spatial details. Compact model was used in conjunction with a flow rate control strategy to provide the needed amount of liquid to cool the heat sources to the desired temperature range. Example case studies show that the estimated energy savings in pump power is about 25% compared with pumping fluid at a constant flow rate.

References

1.
Sridhar
,
A.
,
Vincenzi
,
A.
,
Ruggiero
,
M.
,
Brunschwiler
,
T.
, and
Atienza
,
D.
,
2010
, “
3D-ICE: Fast Compact Transient Thermal Modeling for 3D ICs With Inter-Tier Liquid Cooling
,”
IEEE/ACM International Conference on Computer-Aided Design
(
ICCAD
), San Jose, CA, Nov. 7–11, pp.
463
470
.10.1109/ICCAD.2010.5653749
2.
Bakir
,
M. S.
,
King
,
C.
,
Sekar
,
D.
,
Thacker
,
H.
,
Dang
,
B.
,
Huang
,
G.
,
Naeemi
,
A.
, and
Meindl
,
J. D.
,
2008
, “
3D Heterogeneous Integrated Systems: Liquid Cooling, Power Delivery, and Implementation
,”
IEEE Custom Integrated Circuits Conference
(
CICC 2008
), San Jose, CA, Sept. 21–24, pp.
663
670
.10.1109/CICC.2008.4672173
3.
Xie
,
G.
,
Chen
,
Z.
,
Sunden
,
B.
, and
Zhang
,
W.
,
2013
, “
Numerical Predictions of the Flow and Thermal Performance of Water-Cooled Single-Layer and Double-Layer Wavy Microchannel Heat Sinks
,”
Numer. Heat Transfer, Part A
,
63
(
3
), pp.
201
225
.10.1080/10407782.2013.730445
4.
Lin
,
L.
,
Chen
,
Y.-Y.
,
Zhang
,
X.-X.
, and
Wang
,
X.-D.
,
2014
, “
Optimization of Geometry and Flow Rate Distribution for Double-Layer Microchannel Heat Sink
,”
Int. J. Therm. Sci.
,
78
, pp.
158
168
.10.1016/j.ijthermalsci.2013.12.009
5.
Yue
,
Z.
,
King
,
C. R.
,
Zaveri
,
J.
,
Yoon Jo
,
K.
,
Sahu
,
V.
,
Joshi
,
Y.
, and
Bakir
,
M. S.
,
2011
, “
Coupled Electrical and Thermal 3D IC Centric Microfluidic Heat Sink Design and Technology
,”
IEEE 61st Electronic Components and Technology Conference
(
ECTC
), Lake Buena Vista, FL, May 31–June 3, pp.
2037
2044
.10.1109/ECTC.2011.5898797
6.
Xie
,
G.
,
Liu
,
J.
,
Liu
,
Y.
,
Sunden
,
B.
, and
Zhang
,
W.
,
2013
, “
Comparative Study of Thermal Performance of Longitudinal and Transversal-Wavy Microchannel Heat Sinks for Electronic Cooling
,”
ASME J. Electron. Packag.
,
135
(
2
), p.
021008
.10.1115/1.4023530
7.
Brunschwiler
,
T.
,
Michel
,
B.
,
Rothuizen
,
H.
,
Kloter
,
U.
,
Wunderle
,
B.
,
Oppermann
,
H.
, and
Reichl
,
H.
,
2009
, “
Interlayer Cooling Potential in Vertically Integrated Packages
,”
Microsyst. Technol.
,
15
(
1
), pp.
57
74
.10.1007/s00542-008-0690-4
8.
Munteanu
,
S.
,
Rajadas
,
J.
,
Nam
,
C.
, and
Chattopadhyay
,
A.
,
2005
, “
A Volterra Kernel Reduced-Order Model Approach for Nonlinear Aeroelastic Analysis
,”
AIAA
Paper No. 2005-1854. 10.2514/6.2005-1854
9.
Silva
,
W.
,
2005
, “
Identification of Nonlinear Aeroelastic Systems Based on the Volterra Theory: Progress and Opportunities
,”
Nonlinear Dyn.
,
39
(
1–2
), pp.
25
62
.10.1007/s11071-005-1907-z
10.
Balajewicz
,
M.
,
Nitzsche
,
F.
, and
Feszty
,
D.
, 2009, “
Reduced Order Modeling of Nonlinear Transonic Aerodynamics Using a Pruned Volterra Series
,”
AIAA
Paper No. 2009-2319.10.2514/6.2009-2319
11.
McMullen
,
M.
,
Jameson
,
A.
, and
Alonso
,
J.
,
2006
, “
Demonstration of Nonlinear Frequency Domain Methods
,”
AIAA J.
,
44
(
7
), pp.
1428
1435
.10.2514/1.15127
12.
Ekici
,
K.
, and
Hall
,
K. C.
,
2007
, “
Nonlinear Analysis of Unsteady Flows in Multistage Turbomachines Using Harmonic Balance
,”
AIAA J.
,
45
(
5
), pp.
1047
1057
.10.2514/1.22888
13.
Ekici
,
K.
,
Hall
,
K. C.
, and
Dowell
,
E. H.
,
2008
, “
Computationally Fast Harmonic Balance Methods for Unsteady Aerodynamic Predictions of Helicopter Rotors
,”
J. Comput. Phys.
,
227
(
12
), pp.
6206
6225
.10.1016/j.jcp.2008.02.028
14.
Nie
,
Q.
, and
Joshi
,
Y.
,
2008
, “
Reduced-Order Modeling and Experimental Validation of Steady Turbulent Convection in Connected Domains
,”
Int. J. Heat Mass Transfer
,
51
(
25
), pp.
6063
6076
.10.1016/j.ijheatmasstransfer.2008.04.068
15.
Rambo
,
J.
, and
Joshi
,
Y.
,
2007
, “
Reduced-Order Modeling of Turbulent Forced Convection With Parametric Conditions
,”
Int. J. Heat Mass Transfer
,
50
(
3
), pp.
539
551
.10.1016/j.ijheatmasstransfer.2006.07.029
16.
Barbagallo
,
A.
,
Sipp
,
D.
, and
Schmid
,
P. J.
,
2009
, “
Closed-Loop Control of an Open Cavity Flow Using Reduced-Order Models
,”
J. Fluid Mech.
,
641
, pp.
1
50
.10.1017/S0022112009991418
17.
Cohen
,
K.
,
Siegel
,
S.
,
Seidel
,
J.
, and
McLaughlin
,
T.
,
2006
, “
Reduced Order Modeling for Closed-Loop Control of Three-Dimensional Wakes
,”
AIAA
Paper No. 2006-3356. 10.2514/6.2006-3356
18.
Peles
,
Y.
,
Koşar
,
A.
,
Mishra
,
C.
,
Kuo
,
C.-J.
, and
Schneider
,
B.
,
2005
, “
Forced Convective Heat Transfer Across a Pin Fin Micro Heat Sink
,”
Int. J. Heat Mass Transfer
,
48
(
17
), pp.
3615
3627
.10.1016/j.ijheatmasstransfer.2005.03.017
19.
Moores
,
K. A.
,
Kim
,
J.
, and
Joshi
,
Y. K.
,
2009
, “
Heat Transfer and Fluid Flow in Shrouded Pin Fin Arrays With and Without Tip Clearance
,”
Int. J. Heat Mass Transfer
,
52
(
25–26
), pp.
5978
5989
.10.1016/j.ijheatmasstransfer.2009.08.005
20.
Prasher
,
R. S.
,
Dirner
,
J.
,
Chang
,
J.-Y.
,
Myers
,
A.
,
Chau
,
D.
,
He
,
D.
, and
Prstic
,
S.
,
2007
, “
Nusselt Number and Friction Factor of Staggered Arrays of Low Aspect Ratio Micropin-Fins Under Cross Flow for Water as Fluid
,”
ASME J. Heat Transfer
,
129
(
2
), pp.
141
153
.10.1115/1.2402179
21.
Donald
,
J.
, and
Martonosi
,
M.
, 2006, “
Techniques for Multicore Thermal Management: Classification and New Exploration
,” 33rd International Symposium on Computer Architecture (
ISCA '06
), Boston, MA, June 17–21, pp. 78–88.10.1109/ISCA.2006.39
22.
Coskun
,
A. K.
,
Ayala
,
J. L.
,
Atienza
,
D.
,
Rosing
,
T. S.
, and
Leblebici
,
Y.
,
2009
, “
Dynamic Thermal Management in 3D Multicore Architectures
,”
Design, Automation & Test in Europe Conference & Exhibition
(
DATE'09
), Nice, France, Apr. 20–24, pp.
1410
1415
.10.1109/DATE.2009.5090885
23.
Zhu
,
C.
,
Gu
,
Z.
,
Shang
,
L.
,
Dick
,
R. P.
, and
Joseph
,
R.
,
2008
, “
Three-Dimensional Chip-Multiprocessor Run-Time Thermal Management
,”
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.
,
27
(
8
), pp.
1479
1492
.10.1109/TCAD.2008.925793
24.
Seongmoo
,
H.
,
Barr
,
K.
, and
Asanovic
,
K.
,
2003
, “
Reducing Power Density Through Activity Migration
,”
International Symposium on Low Power Electronics and Design
(
ISLPED '03
), Seoul, South Korea, Aug. 25–27, pp.
217
222
.10.1109/LPE.2003.1231865
25.
Coskun
,
A. K.
,
Atienza
,
D.
,
Rosing
,
T. S.
,
Brunschwiler
,
T.
, and
Michel
,
B.
,
2010
, “
Energy-Efficient Variable-Flow Liquid Cooling in 3D Stacked Architectures
,”
Design, Automation & Test in Europe Conference & Exhibition
(
DATE
), Dresden, Germany, Mar. 8–12, pp.
111
116
.10.1109/DATE.2010.5457228
26.
Sabry
,
M. M.
,
Coskun
,
A. K.
, and
Atienza
,
D.
,
2010
, “
Fuzzy Control for Enforcing Energy Efficiency in High-Performance 3D Systems
,”
IEEE/ACM International Conference on Computer-Aided Design
(
ICCAD
), San Jose, CA, Nov. 7–11, pp.
642
648
.10.1109/ICCAD.2010.5654235
27.
Patankar
,
S. V.
,
1980
,
Numerical Heat Transfer and Fluid Flow
, Hemisphere Publishing Corp., New York.
28.
Incropera
,
F. P.
, and
DeWitt
,
D. P.
,
2002
,
Fundamentals of Heat and Mass Transfer
,
Wiley
,
New York
.
You do not currently have access to this content.